2023-08-09から1日間の記事一覧

【verilog】内部信号をモニタする2

便利なモニタ用のモジュールを作成中。今回はシンプルな2つのデータを常時監視するモニタを作成しました。 このモニタは、bindでdutの内部にインスタンス化することを想定しています。内部信号をモニタに接続して、CSVファイルに格納します。 CSVファイルに…